Tcl

2024/4/13 19:46:43

索尼等惊爆在华巨额亏损 实为做账避税

听起来简直令人难以置信:无论是三星、LG,还是索尼、夏普,无论是冰箱、空调,还是彩电、数码相机,任是名声显赫、市场份额无限扩张,它们在中国的销售业绩却至今是“赔钱的吆喝,不赚钱的买卖”。洋品牌在华长期亏损,不仅是上世纪的事实,也是今天的事实。当然也有专家出来质疑说,洋…

三星5亿美元再建液晶模组厂 广深抛绣球

继今年4月与TCL集团合作,在惠州建设年产能超过800万片的液晶模组项目后,三星电子计划在珠三角“再下一城”。 “厂址将在广州和深圳当中选择。”6月12日,三星电子大中华区总裁朴根熙向记者表示,新模组工厂投资规模约5亿美元&…

评论:唐骏为什么能不断增值

加盟新华都,10亿天价转会费,转战传统行业……在离开互联网之际,唐骏依然为互联网贡献了不少新闻。 从微软的1亿元,到盛大的5亿元,再到新华都的10亿元。排除CPI上涨和美元贬值的因素,一个不容否认的事实是…

Tcl Tutorial(2)-经典教程+代码示例

本文是Tcl Tutorial的第二篇新手教程,主要总结了Tcl的数学运算符和数学函数,类型转换函数,if, switch, while/for循环结构。 目录 数学运算 数学运算符 数学函数 类型转换函数 计算机和数字

MTK商业发展模式

MTK模式 尽管联发科技(简称MTK、联发科)创始人兼董事长蔡明介一再表示,“对新闻媒体(版面)的‘市场占有率’是MTK最不想要的”,这家来自我国台湾的芯片设计公司依然是目前电子信息行业内见于报端最多的企业之一。  联发科的高曝光率因手机而起。就内地…

TCL - 库编译过程和官方手册

文章目录 TCL - 库编译过程和官方手册概述笔记编译步骤TCL官方手册补充 - 干净清晰的编译 - 带参数的编译(x86, amd64)准备编译环境编译am64版本编译x86版本2进制比较那么在win10x64下的正确的编译脚本命令如下补充 - win10x64下的正确的编译脚本命令 - 改变代码页备注 - TCL编…

windows环境下QuestaSim软件的使用

文章目录 前言一、QuestaSim使用方法1、编译vlog2、映射vmap3、仿真vism4、ifndef和define(常用)5、QuestaSim的仿真界面6、完整QuestaSim仿真——TCL脚本 前言 2023.8.29 一、QuestaSim使用方法 1、编译vlog vlog:questasim的编译命令 -s…

腾讯TCL跨界合作 26寸iCE SCREEN智屏

近日腾讯和TCL共同宣布一项跨界合作:共同推出一款可以移动的大屏产品——iCE SCREEN冰激凌智屏,兼具有平板电脑的功能,又有26英寸大屏幕。重要的是,一块外接电池可以让它变成一个移动终端。马化腾表示,腾讯不会做硬件,…

种子填充算法在验证码识别中的的应用

种子填充算法在验证码识别中的的应用 需要模拟精灵v7.15 下载:http://www.yhhe.net/bbs/dispbbs.asp?boardID4&ID2851&page1 模拟精灵识别验证码的能用是强大的,一个函数即可以去除杂色杂点,但是有时候验证码中有大量的干扰线&a…

DDL语句、DML语句、TCL语句

一.表操作(DDL) 1.创建表 CREATE TABLE table_name( column_name datatype [DEFAULT expr], column_name datatype [DEFAULT expr], ... column_name datatype [DEFAULT expr] ); 注意创建表时,列与列之间用逗号隔开,最后一列之后不需要逗号 …

什么是冒烟测试?

#这是本人认为关于冒烟测试比较好的概念解释了 关于冒烟测试,应该是微软首先提出来的一个概念,和微软一直提倡的每日build有很密切的联系。具体说,冒烟测试就是在每日build建立后,对 系统的基本功能进行简单的测试。这…

照相机(百度百科)

照相机  照相机,是用于摄影的光学器械。被摄景物反射出的光线通过照相镜头(摄景物镜)和控制曝光量的快门聚焦后,被摄景物在暗箱内的感光材料上形成潜像,经冲洗处理(即显影、定影)构成永久性的影像,这种技术称为摄影术。 最早的照相机结构十…

ubuntu中安装ecos源码(带图详细步骤,亲测可用)

目录 1. 安装ecos需要的标准库 2. 安装ecos的构建工具 3. 安装tcl脚本 4. 运行ecos的构建工具来进行ecos构建 5. 等待下载ecos源文件 6.查看下载的ecos源码​ 1. 安装ecos需要的标准库 apt-get install lib32stdc6 2. 安装ecos的构建工具 wget --passive-ftp ftp://ecos.…

基于NS-2的网络视频传输仿真平台的建立

基于NS-2的网络视频传输仿真平台的建立 ————网络视频传输仿真平台的建立 摘 要 随着Internet和多媒体技术的迅猛发展, Internet已逐步从单一的数据传送网向数据、语音、图像等多媒体信息的综合传输网演化。由于活动图像的数据量很大,在具体应用中&a…

tcl脚本学习-基础语法

本文参考公众号 数字IC与硬件设计的两居室 文章目录tcl介绍语法学习tcl介绍 复杂文本处理 Tcl可以把文本中的每一行变为一个列表,最简单的列表就是包含由任意个空格、制表符、换行符分隔开的任意多个元素的字符串,可以直接根据元素编号来获取字符串内容…

Tcl脚本学习笔记

Tcl脚本学习笔记置换(Substitution)变量置换命令置换(Command Subsitution)反斜杠置换(backslash substitution)置换(Substitution) 变量置换 变量置换由一个美元符号$开始,变量置换会导致变量的值插入一个单词中。 ex1: set a 1 set b $a1 # result:…

2009年三季度基金持股减仓表2

序号 股票代码 股票简称 相关链接 持有基金家数 持股总数(万) 持股市值(亿元) 持股变化 持股变动数值(万) 持股变动比例(%) 176 600900 长江电力 2 4615.98 6.17 减仓 -45335.87 -90.76 177 600169 太原重工 2 4115.21 5.92 减仓 -4346.86 -51.37 178 000100 TCL集团 2 …

使用Tcl脚本分配FPGA管脚

自动生成Tcl文件 Project -> Generate Tcl File for Project...弹出如下对话框,设置脚本路径。 编辑引脚 使用set_location_assignment分配管脚如下: 第一次配制时,没有set_location_assignment语句,自已在set_global_assignme…

我的代码片段

TCL片段 实现vivado的bit,crc,ltx代码备份功能 1 运行add_tool_crc16_5.exe软件,生成CRC 2:备份bit,ltx,crc文件##################################################### # 1.5工程执行脚本 --1: 运行add_tool_crc16_5.exe软件&#xff…

Ubuntu 11.04 32位系统下 SRILM 的配置详解

首先,安装依赖的软件包: 1.C/C compiler:编译器gcc 3.4.3及以上版本,本机实验环境为gcc 4.5.2 2.GNU make:构建和管理工程的工具,解释Makefile里的指令,描述了整个工程所…

深入正则表达式原理 1

深入正则表达式原理 最近很多同学都找到了工作,给我的压力很大,我不知道,我怎样才能突破自己,找到自己期待已久的工作,可能是我没有找到突破口,没有找到自己心中最想工作,想干一番大事业的那种冲…

[LFS] My Own Linux 第二天

总结第一天的工作,其实还是有些问题的,首先binutils是用原有的gcc-4.1.2编译的,而我们的目标是使用gcc-4.3.3的版本,因此后面还需要重新用gcc-4.3.3编译binutils,因此我想对此作出一些改进,完全删除并再次建…

用JAD反编译修改NSG2以方便自己使用 转载

用JAD反编译修改NSG2以方便自己使用 转载2009年03月23日 星期一 08:59NSG是一个非常不错的可视化的ns2场景制作软件, 参见: http://wushoupong.googlepages.com/nsg 但是NSG2生成的tcl比较死板,是一个固定的框框,在实际ns2的扩展仿真时,每次生成了之后都要根据自己的要求再改半…

Tcl语言:基础入门(一)

Tcl语言https://blog.csdn.net/weixin_45791458/category_12488978.html?spm1001.2014.3001.5482 Tcl语言是一种脚本语言,类似于Bourne shell(sh)、C shell(csh)、Bourne-Again Shell(bash)等UNIX shell语言。Shell程序主要作为胶水缝合其他…

山寨机盛世危情:市场透支 从良无望

山寨机正在成为品牌手机厂商们的绝命杀手。2007年高达1.5亿部的出货量,使得山寨机的市场占有率在不知不觉中达到了两成。手机牌照的取消、单芯片手机解决方案的兴起,使得手机行业的壁垒在一夜间全面瓦解。当功能齐全、款式多样、价格低廉的山寨机以一种“…

闪联4个提案获全票通过 08年将正式成国际标准

一直以来,闪联申请成为国际化标准成为业界关注的焦点。3月25日,搜狐IT从中国闪联标准工作组组长、闪联信息技术工程中心有限公司总裁孙育宁博士处获悉,在今天上午,闪联4个提案以17票赞成、0票反对一次性获全票通过。闪联将在08年正…

启动“视网计划” 中国网通布局互联网视频产业

中国网通推进宽带发展和业务转型的战略迈出了实质性步伐。昨日,中国网络通信集团公司(下称“中国网通”)对外宣布正式启动“视网计划”,同时,贵州电视台、青海电视台、赛迪传媒、湖北省影视艺术中心、中国电视艺术家协…

国产品牌爱国者首次杀入数码相机十强

在联想、TCL、清华紫光等众多国产品牌在数码相机领域遭遇失败后,今年上半年北京华旗资讯数码科技有限公司(下称“华旗”)旗下品牌“爱国者”终于杀出一条血路,闯进市场前十。昨日《第一财经日报》从赛迪顾问公布的“2008年上半年中国数码相机市场回顾与展…

Tcl学习1——安装软件环境ActiveTcl

ActiveTcl介绍 ActiveState软件(http://www.activestate.com)是一个创建开发工具,为包括Tcl在内的一些动态语言提供服务和支持的公司。 除了他们的商业产品,还提供了一款免费的 Tcl预编译器,称为ActiveTcl,其中还打包进一些流行的…

如何编写简单的testbench和运行仿真(一)——modelsim的命令

作为FPGA工程师,编写testbench是我们必须要学会的技能,一个成熟的testbench要包含验证方法学的理论和相关技术,这里只介绍最最简单的testbench,也就是通常说的把代码“跑起来”,成熟的testbench留在我后面写的UVM方法学…

如何编写简单的testbench和运行仿真(二)——简单的testbench和仿真脚本

废话不多说,先上本文中所使用的testbench和仿真脚本的源代码链接。我们可以在我们的工程目录下建立一个src文件夹,用于存放待测设计的所有代码,另外再建立一个sim文件夹,用于存放testbench和仿真脚本。 一、简单的testbench的编写…

Tcl Tutorial(3)-经典教程+代码示例

本文是Tcl Tutorial的第3篇新手教程,主要介绍使用proc command定义函数,proc中的变量和返回值,变量的生效范围以及字符串的模式匹配。有经典的实例,浅显易懂。 目录 增加新的commands-proc proc中的变量和返回值 变量范围-global和upvar

NS2 仿真参数自动更改和执行,并保存执行结果

内容说明 通常在NS2仿中,是在TCL脚本中设置网络参数进行网络仿真。如果网络参数变化,需要手动修改TCL脚本中设置的参数,然后在重新运行TCL文件获得仿真结果。如此比较麻烦,如何自动修改网络参数并运行仿真,且自动保存运…

各种手机锁解锁密码

(一)摩托罗拉所有机锁:按MENU 5 1/2 T190解锁密码: 20010903 T191解锁密码:19980722 3X8/2X88/998/8088/L2000/7689/T189/C289等初始密码为1234 ;话机密码为000000 ;解锁方法:如无…

Tcl学习笔记(二)——表达式、字符串

目录 1. 表达式 算数操作符 关系操作符 逻辑操作符 按位操作符 选择操作符 数学函数 字符串操作 2. 字符串 字符串长度、大小写转换、裁剪、重复 字符串类型 字符的获取 字符串的添加、删除、替换 字符串的比较 字符串的简单搜索 字符串的匹配 格式化…

乐逗游戏独家运营TCL通讯阿尔卡特游戏中心

国内移动互联网精品游戏发行及代理运营商乐逗游戏与手机厂商TCL(阿尔卡特)近日宣布,双方已于2012年2月29日达成战略合作协议,乐逗游戏将独家承接阿尔卡特手机安卓系统的所有游戏运营、技术支持工作。 据了解,乐逗游戏成功引进的“愤怒的小鸟”…

Reduced Tcl Tutorial All-in-One

Preface / 前言 This tutorial is based on Tcl Tutorial. This tutorial was originally designed for the lab of the course ECE4810J. This tutorial only keeps the key notes and adds some additional instructions and explanations. The chapters are also re-organi…

TCL学习笔记(持续更新)

前言: TCL(tool common language)是一种通用工具语言,很多eda tool都支持tcl,学习了解一些tcl基本语法还是很有必要的。 1:基础概念 解释器: #!/usr/bin/tclsh 打印: puts -> p…

《修改BIOS为品牌机信息安装激活Windows XP SP2》

当前,有很多朋友都在询问如何激活SP2,在这里我将自己使用OEM版品牌机的原版XP集成SP2后安装并成功激活的经验介绍大家,也是我来技术交流版块的一份见面礼吧。集成安装的方法我在这里就不多说了,现在主要说说修改BIOS实现激活XP的方…

4月份解禁股一览

数据来源于:上海证券报 4月份解禁股流通情况一览 股票代码 股票简称 可流通时间 本期流通数量(万股) 待流通数量(万股) 收盘价(元) 本期流通市值(万元) 600109 国金证券 2008-04-01 2238.51 42273.60 27.41 61357.54 600143 金发科技 2008-04-01 75.66 3…

Tcl学习笔记(一)——环境搭建及基本语法

一、Tcl简介 TCL(Tool Command Language,即工具命令语言)是一种解释执行的脚本语言。所谓解释执行语言,是指其不需要通过编译和联结,而是直接对每条语句进行顺序解释、执行。 TCL包含语言和工具库,TCL语言主…

Tcl基础知识

一、概述 Tcl 语言的全称 Tool Command Language,即工具命令语言。这种需要在 EDA 工具中使用的相当之多,或者说几乎每个 EDA 工具都支持 Tcl 语言,并将它作为自己的命令shell。 静态时序分析中多用的 Synopsys Tcl 语言&#xff0c…

【ICer的脚本练习】tcl语法熟悉和工具tcl的实例

系列的目录说明请见:ICer的脚本练习专栏介绍与全流程目录_尼德兰的喵的博客-CSDN博客 前言 TCL(Tool Command Language)是一种简单但功能强大的脚本语言,它经常用于自动化任务、测试和快速原型开发。你看这个名字就能知道,这个语言最主要的作用就是用来操作工具,尤其我们…

夜行高新园

话说 高新园深夜,高楼大厦山谷中行走着一个人 其腿穿牛仔裤,脚着360运动鞋,上身黑色夹克,腰露出些里面的白色寸衣,白色寸衣领子一层灰漆漆地.挎者V字头的挎包.急行在寒风月黑之夜.在公交站台附近 一群POLICE把他给拦住了 一名警察要求 检查身份证 看看了身份证 说"什么地干…

shell 常见命令

1. ctrlA 返回最前边的字符。 2. ctrlR 查询history时,提示用过的command 3. vi中移动到行头,按 数字0 ,移动到行尾按 $ 即可! 4. wget httpd://afdasfd.com 或者 svn co httpd.//name.com 或者 svn …

Tcl编程简介

Tcl编程简介   简介   Tcl是一种很通用的脚本语言,它几乎在所有的平台上都可以解释运行,功能强大。是tool command language的缩写,发音为 "tickle”, 实际上包含了两个部分:一个语言和一个库。   首先&…

Mstar揭神秘面纱,山寨启二次洗牌

Mstar台湾晨星半导体之前一直非常神秘,近期随着Mstar将在台湾上市IPO,各种数据方逐步被外界获知,记得去年与一位台湾某知名IC设计企业高管谈起Mstar,问道过去几年的业界,连这位在台湾浸泡十几年的朋友也难说一二&#…

TCL脚本编程

TCL命令格式 tcl命令的格式为: 命令 参数 命令参数可以多个,一般为1到2个 参数可以是一个tcl命令格式,必须用[]来限定。[]里必须是一个有效的tcl命令脚本。例如: set y [expr $x200] TCL数据结构 列表 定义一个列表 set …

Swig转c++ lib项目到c#可引用的dll

swig 是一个工具,可以将c,c代码转换成c#,java,perl,tcl,ruby等等语言,官网介绍如下 http://www.swig.org/Doc1.3/SWIG.html#SWIG_nn2 个人目标:c lib 项目转成c#可直接引用的dll 从头讲起,以下皆使用vs 2008 1. lib项目 1.1 …

TCL学习笔记之 列表命令

tcl使用列表来处理各种集合,列表允许你把任意数量的值集合在一起,把集合作为一个实体传递,列表是元素的有序集合,各个元素可以有任何的字符串。 最简单法的列表就是包含由任意个空格、制表符、换行符分割开的任意多个元素的字符串…

金融海啸下,全球IT业裁员日志

涉及企业 25 家裁员总数 140482 人 金融海啸下,全球IT业裁员日志(共/将裁员约 140482 人) 宣布日期公司名字裁员人数所在领域详细情况2008年11月03日飞思卡尔2400人半导体公司飞思卡尔拟全球裁员10%约2400人应对金融风2008年11月0…

NS2 下添加 OLSR协议,以及测试

注意 本文是在NS2-2.35下添加OLSR协议,本文用到的NS2和OLSR安装包以及TCL测试代码见NS2和OLSR安装包,以及TCL测试代码下载链接。 添加OLSR协议步骤 进入ns-allinone-2.35/ns-2.35,将下载好的OLSR协议源码压缩包um-olsr-1.0.tgz拷贝到该目录下…

k4s511632调试记录

最近在进行k4s511632调试过程中 连续进行突发写操作出现在进行突发读出数据时只有第一个字节是正确的其他全部错误。 SDRAM控制代码没有问题(别的型号的SDRAM测试使用过 ),时序约束也正确,最后发现是SDRAM的TMRD设置问题应设置为0…